MEMS Glossary

Learn about key terms in the MEMS industry with Atomica’s extensive deep dive into MEMS vocabulary, built by our team of technical experts.

0-9

2.5D packaging

A wafer packaging method intended to offer a reduced footprint and improved performance by placing two individual dies either side-by-side on a silicon interposer or in a stacked configuration with electrical connections provided via bumps and pillars. Compare with 3D packaging.

3D packaging

A wafer packaging method intended to offer a reduced footprint and improved performance by stacking circuitry or microdevice dies and establishing electrical interconnections using through silicon vias (TSVs). Compare with 2.5D packaging.

3TG

Designation for the conflict minerals tantalum, tin, tungsten, and gold. Conflict minerals were regulated by U.S. Federal law in 2010 to avoid providing funding to organizations involved in violent conflict in the Democratic Republic of Congo (DRC).

A

Accelerometers

A device that measures the inertial forces of straight-line acceleration. The forces are often calculated either by measuring the compression of a spring under a mass or by the voltage generated by piezoelectric crystals under stress.

Anisotropic

Dissimilar in different directions (the opposite of isotropic). The properties of anisotropic materials can vary in different directions (along its length, depth, and width). In the etching process, anisotropic etching typically indicates that the material is removed in the vertical direction while removal in the transverse direction is controlled or limited.

Aspect ratio

The ratio between depth/height and width/diameter. “Depth” would apply to an etched hole or trench while “height” could apply to the vertical dimension of a protruding feature. Similarly, “width” and “diameter” would apply to the transverse dimension of a hole or feature. The ratio is often expressed as two numbers; for example, a ten-micron depth compared to a one-micron width would be expressed as 10-to-1 or 10:1

Atomic force microscopy (AFM)

A form of microscopy providing very high-resolution information (at nanoscale) regarding a target surface. The technique uses a physical probe to scan the specimen surface and uses laser light and photodiodes to track the probe’s motion.

Atomic layer deposition (ALD)

A technique for laying thin films using two alternating gaseous reactants (or “precursors”) which are released onto and chemically react with the surface of the target object. Alternate precursor applications are separated by inert gas purging which avoids interactions between the precursors in the gas phase. With this variant of chemical vapor deposition (CVD), coatings can be built up layer by layer with stringent control over thickness and composition on both 2D and 3D structures.

B

Backend

Assembly processes that occur after the semiconductor or MEMS devices are complete at the wafer level. This may include wafer thinning (such as chemical mechanical polishing), inspection, testing, dicing/singulation, and wire bonding.

Beamsplitter

An optical device that splits a beam of light into two separate beams at a specific desired ratio. Can sometimes also be used to combine two beams into one. Used in optical experiments and measurements.

Biochip

A miniature device containing biosensors that can perform biochemical reactions through which items such as enzymes and proteins can be identified from biological materials. The biochip may be viewed as a miniaturized laboratory with capabilities such as cell sorting and DNA sequencing

Bond line

The adhesion area where two wafers are brought together to form one unit. Refer to wafer bonding.

Bond width

The transverse dimension of the bond line. The bond width may vary based on factors such as hermeticity and vacuum requirements. Since bond width is unusable for functional space on the wafer, the bond width is typically minimized.

Buffered oxide etch (BOE)

A wet etching process for microfabrication that employs hydrofluoric acid (HF) often buffered with ammonium fluoride; used to etch thin films of silicon dioxide or silicon nitride.

Buried oxide (BOX)

A thin layer of silicon dioxide (SiO2) buried in a silicon wafer acting as an insulator between circuitry above the layer and the silicon substrate below. The buried oxide can isolate multiple devices located on a common substrate and can also avoid electrical interaction between the devices and substrate (primarily parasitic capacitance).

C

C-band

A portion of the electromagnetic spectrum (with frequencies of 4.0 to 8.0 GHz) that has been used primarily for telecommunications passing through satellites (including transmission of television feeds). The U.S. Federal Communications Commission has also designated frequencies of 3.7 to 4.0 GHz as “C-band” for use with 5G wireless networks.

Chemical-mechanical polishing (CMP)

A process for smoothing surfaces to a high degree of precision that combines mechanical abrasion and reactive chemical etching. This polishing is often used to prepare a silicon wafer surface for photolithography

Clean dry air (CDA)

A cleanroom atmosphere in which gas constituents (e.g., nitrogen, oxygen, argon) are in controlled ratios, airborne particulate matter is filtered out to an extreme level, and moisture is limited to a very low dew point. Specific limits are dependent on the manufacturing process requirements and may be defined using ISO specifications for cleanroom classifications (from cleanest at ISO 1 to “dirtiest” at ISO 9).

CMOS

An acronym for complementary metal-oxide-semiconductor, a technology used in the construction of integrated circuits such as microprocessors and memory chips and for analog circuits like image sensors and RF circuits. CMOS devices typically have low static power consumption and high noise immunity. READ MORE.

Coefficient of thermal expansion (CTE)

A measure of the fractional growth in the linear dimension of a material for each unit rise in temperature. Can be used to compare how two dissimilar materials will grow to a varying degree under a common rise in temperature.

Control limit (CL)

On a statistical chart of data from a repeatable process (e.g., time to complete or dimensional variation from specification), control limits are calculated from the data at three standard deviations above and below the mean (or average) line. These are the upper and lower control limits; 89% of the data points fall between the upper and lower limits. Data falling outside the control limits typically indicate special causes; efforts to eliminate special causes tend to narrow the control limits and improve the controllability of the process which is generating the data.

Coring

A process to change the dimensions of a wafer, including the cutting of a large wafer into smaller wafers. This step may be necessary to fit a wafer into existing or available processing equipment.

Coupons

Samples taken from a production run for testing, analysis, or confirmation of quality and consistency.

Cpk (Process capability index)

A statistical tool used to understand the ability of a repeatable process to meet specified limits or produce results within a certain tolerance range. Cpk is a standard index that can compare different time segments of production or different machines or production operations performing supposedly identical tasks.

Critical dimension (CD)

The key dimension for a manufactured object that, if not met, would compromise the function or required quality of the object.

Cycle time

The time required to complete a full cycle of production, from the moment the first step is taken to the moment at which the process is complete. Cycle time is measured as the number of calendar days and clock hours taken from start to finish (as opposed to the number of man-hours or machine hours that may be involved).

Cytometry

A technique used to analyze the characteristics of biological cells including the count, size, and shape of cells and DNA content. The cells can be suspended in a fluid and passed individually through focused light (typically lasers). Information about the cells is generated by how the light is absorbed, passed, or scattered. The technique finds use in multiple medical fields including immunology, molecular biology, and infectious disease monitoring.

D

DARPA

An acronym for the Defense Advanced Research Projects Agency, an agency within the U.S. Department of Defense responsible for research and development of new technologies for military use.

Deep reactive ion etching (DRIE)

A dry etching process to create deep (high aspect ratio) holes or trenches in a wafer substrate. The most common DRIE variant is the Bosch process used mainly on silicon substrates. Also, see reactive ion etching (RIE). Read more.

Deionized water (DI)

A form of purified water in which mineral ions (like iron, copper, magnesium, calcium, chlorides, nitrates, and sulfates) have been removed. Deionization will not remove impurities that do not bear an ionic charge (e.g., particulates, organics, bacteria).

Dicing

Cutting of a wafer or wafer-mounted devices into discrete pieces. Also called singulation.

Double sided polish (DSP)

The wafers are immersed in a liquid containing abrasive particles and placed between two plates which polish the top and bottom surfaces of the wafer. The wafers are continually rotated so that the direction of abrasion is constantly changing. This method minimizes stress on the wafer resulting in less thermal distortion, fewer surface imperfections, and minimized edge chipping.

Dry etching

An etching process wherein wafer material is removed through the use of reactive or non-reactive ionized gases; sometimes referred to as plasma dry etching. Provides a more-focused removal of material. Compare with wet etching.

E

EAR

An acronym for Export Administration Regulations; the rules administered by the U.S. Department of Commerce’s Bureau of Industry and Security that control the export of data and technologies across international borders.

Electroplating

A technique for placing metal films onto electrically conductive substrates using electric currents. A positively charged anode composed of the coating material is placed in an electrolyte solution with the negatively charged cathode material to be coated. Applying an electrical current across the anodes causes migration of atoms from the anode through the solution to become bonded to the cathode at the molecular level.

Etching

The removal or subtraction of unwanted material from a MEMS wafer to create the topography (holes, channels) of microdevices. Performed using the thermal energy of lasers or via wet or dry etching.

F

Fiducials

Markers used to guide alignment; for instance, ensuring that a cap wafer is appropriately aligned over a MEMS device or that the MEMS device is set correctly with respect to the substrate.

FMEA

An acronym for Failure Mode and Effects Analysis, a rigorous structured method used to a) review components and subsystems and b) identify potential failure modes, their causes, and their effects.

Foundry

Historically, a manufacturing facility in which materials (usually metal) were melted and poured into molds; today, the term is also applied to fabrication facilities in which semiconductors and MEMS wafers are created.

Fourier-transform infrared spectroscopy (FTIR)

A technique using a mathematical process (Fourier transform) to interpret the raw data (interferogram) from a material sample. The process yields a spectrum through absorption spectroscopy which can be used to interpret properties of the material. The technique is finding value in biological and medical applications.

G

.GDS or GDSII

The database file format typically used to plot photomasks used in the creation of integrated circuits and MEMS devices. GDSII files are a binary format representing the desired shapes to be produced on the wafer or chip. GDS stands for “Graphic Design System”. Refer to masking.

Getters

A reactive material placed in the cavity of MEMS structure to absorb chemically reactive molecules such as the outgassing of organic molecules which may impact the lifetime or performance of the component. Getters also help to maintain the required vacuum within a MEMS cavity. There are many types of getters, but a common approach is sputtered thin film metal.

Glass frit

A low melting point glass that is used to bond wafers together or serves as fill under a cap wafer. At temperatures between 450° and 550°C, the glass flows to conform to surface roughness from etching, deposition, or edge connections, creating a strong hermetic bond as it cools. The thermal properties of the glass frit are sufficiently similar to silicon to avoid significant stresses in the wafer stack.

Good manufacturing practices (GMP)

A operating system and philosophy that encompasses the people, products, processes, procedures, and premises used in manufacturing (especially for products potentially affecting the safety and health of humans such as food and pharmaceuticals) to ensure that production is controlled and consistent and will meet quality standards.

Grayscale lithography

A method of semiconductor wafer processing for shaping the resist layer in the Z dimension. Devices interacting with the waves or fluids at the microscale can more optimally function with smooth, tapered, or rounded curves in multiple axes. Traditional semiconductor photolithography can create rounded structures in the X and Y dimensions; grayscale lithography addresses the z dimension

Gyroscope

A device that detects rotation or angular change around a singular axis. MEMS gyros usually employ paired objects that vibrate like a tuning fork; when the vibrating objects are rotated, a measurable force is created as the masses move out of plane due to the Coriolis effect.

H

Hermeticity

The protection of a MEMS device or integrated circuit from environmental conditions (e.g., foreign gases, liquids) achieved during wafer-level packaging by sealing a cap over the item to be protected (referred to as being hermetically sealed).

High pressure CVD (HPCVD)

Refer to chemical vapor deposition (CVD)

I

Inertial measurement unit (IMU)

A device that measures and communicates motion and acceleration (both straight-line and angular) along and around three axes using a combination of accelerometers, gyroscopes, and sometimes magnetometers (for orientation).

Inertial sensor

A device that detects and measures the force of acceleration (accelerometer) or rotation (gyroscope).

Interferometer

An apparatus that brings together two sources of light to create interference patterns from which the physical characteristics of an object under study may be determined. The term was formed from the combination of “interfere” and “meter” (to measure). The interference patterns provide the capability of making extremely small measurements.

International Automotive Task Force (IATF)

An international group of automotive manufacturers that works toward improved quality products. Their efforts include: developing a consensus regarding international fundamental quality system requirements; developing policies and procedures to ensure consistency worldwide; providing training to support technical specification IATF 16949; and establishing formal liaisons with appropriate bodies to support IATF objectives.

International Organization for Standardization (ISO)

An independent, non-governmental international organization and the world’s largest developer of voluntary international standards. Its membership comes from 167 national standards agencies. Use of ISO standards promotes consistency in products and services across nations while encouraging safety, reliability, and quality.

International Traffic in Arms Regulations (ITAR)

U.S. regulations (administered by the U.S. Department of State) that control the export or distribution of defense-related items (including physical products, software, and data) and services.

Interposer

An electrical interface providing wide, fast signal conduits between components in a stacked die or wafer package. Though more difficult or costly than conventional package connections, an interposer can provide a larger signal conduit with reduced electrical resistance and shorter connection distances. Interposers often work in tandem with through silicon vias.

Ion beam etching (IBE)

A type of dry etching wherein unwanted material is blasted away using the high kinetic energy of a focused stream of non-reactive ionized gas.

Ion milling (sometimes “mill” for short)

A technique for removing the top layer of material by blasting the surface with high-energy ions of inert gas (typically argon) to reveal a new clean surface that is atomic-level smooth. The extremely flat surface allows for high-resolution imaging of the material such as with an electron scanning microscope. The milling process is run in a vacuum; factors such as ion energy and the incident angle of the ion stream are adjusted to optimize surface quality and milling time.

ISO 9000

A series of quality management standards aimed at meeting customer and stakeholder needs within statutory and regulatory requirements related to a specific product or service. Refer to International Organization for Standardization.

ISO 13485

A standard aimed at ensuring quality in devices intended for the diagnosis, prevention, and treatment of diseases or other medical conditions. The standard is to be applied to the design, production, installation, and servicing of medical devices. The standard places emphasis on risk management and risk-based decision making. Refer to International Organization for Standardization.

Isotropic

Uniform in all directions. An isotropic material has consistent properties across its length, depth, and width. In the etching process, isotropic etching indicates that the material is removed at the same rate in all directions.

J

K

KOH (potassium hydroxide) etch

An anisotropic wet-chemical etching process for silicon wafers. The highly corrosive chemical, used together with deionized water and carefully regulated temperatures, can provide a fast etch at comparatively lower costs.

L

LiDAR (light detection and ranging)

A remote sensing technique to determine distances to objects. LiDAR devices send out laser light pulses and compute the distance by measuring the time taken for the pulse to reflect from the object to a sensor.

Lift off layer (LOL)

An additive process (as opposed to a subtractive process like etching) for depositing a pattern on the substrate surface. A sacrificial stencil layer (the lift-off layer) is first placed on the substrate which is then patterned in the inverse of the desired final pattern. Target material is deposited into the inverse pattern and then the remainder of the sacrificial layer is removed.

Lot

A batch or group of wafers processed sequentially or at the same time.

Low pressure CVD (LPCVD)

Refer to chemical vapor deposition (CVD)

Lower control limit (LCL)

Refer to control limits.

M

Magnetometer / magnetic sensor

A device that determines the strength and direction of a magnetic field using the Lorentz force (felt when a looped electrical current passes through a magnetic field). The force will cause the loop to flex in proportion to the strength of the field, and these movements can be detected and measured either electronically or optically.

Manufacturing Execution System (MES)

A computerized system used to monitor, document, and optimize the manufacturing process from end to end (from raw materials to completed products). Data from the MES can provide the basis for improvements in process efficiency and product quality. (The EyeLit MES is used at Atomica.)

Microactuator

A micro-scale mechanism that translates incoming instructions (a control signal, typically electronic) into action (e.g., mechanical motion such as flipping a switch or fluid motion such as opening a valve or operating a pump).

Masking

A photoresistant, light-sensitive material applied to the surface of a chip or its substrate that will serve to block either etching or deposition in order to create the desired surface pattern. Refer to photolithography.

Microbolometer

A wafer-level device that measures heat or, more specifically, infrared radiation. The material used in the sensor changes electrical resistance as it is heated, and changes in resistance can be digitally interpreted as changes in temperature.

MicroElectroMechanical Systems (MEMS)

Traditional electronic and mechanical devices fabricated on a micro scale with dimensions ranging from a few millimeters down to multiple

microns. Though fabricated in a manner similar to semiconductors or integrated circuits, MEMS are distinct in that they have some sort of physical function allowing the device to interact with its surrounding environment; some devices incorporate moving parts (like cantilevers, springs, and pressure-sensitive diaphragms) while others do not (such as RF filters, photonics, and optical benches).

Microfluidics

Microfluidic chips integrate various fluid-handling components such as channels, pumps, micro-well arrays, and mixers at a micro scale. These chips, often constructed via multiple bonded wafers, are advancing many aspects of medical diagnostics, genomics, proteomics, and even stem cell therapies.

Microinductor

A passive non-moving electrical micro component that, when electricity flows through it, can store energy in the form of a magnetic field. The basic construction is a coil of conductive material at the core of which the magnetic field is produced. Microinductors may be incorporated in devices such as proximity sensors, tuning circuits, transformers, electrical chokes, and signal filters.

Micromachining

The removal or subtraction of substrate material to create structures on an extremely small scale; typically performed via dry etching or wet etching.

Micromirror

A microscopic mirror sitting on pivots. Such mirrors are usually set in an array where the center-to-center spacing may be as little as five to ten microns. Each mirror’s position can typically be toggled on (allowing light to flow out to some destination) or off (with the light reflected to a heatsink). Intermediate light levels can be achieved by fluttering the mirror to vary the amount of time spent in the on position. Uses of MEMS mirrors include digital projectors, for laser beam steering (e.g., in LiDAR), and as switches in fiber optic communications.

Mill

See ion milling.

N

O

O-band

Primary band of wavelengths (1260 to 1360nm) designated for optical communications. The “O” is for the “original” band since these wavelengths were optimal for fiber optics materials when the band was identified in the 1970s.

Optics

The study of light with, typically, two main divisions: “geometrical” which considers light in terms of rays traveling in straight lines and includes the concepts of reflection and refraction; and “physical” which regards light as an electromagnetic wave and includes the concepts of diffraction and interference. Compare with photonics.

P

Packaging

The final manufacturing stage of semiconductor or MEMS device fabrication, in which integrated circuits, processors, sensors, imaging devices, optoelectronics, and electromechanical components are mounted or encased to prevent physical or environmental damage. Also called semiconductor device assembly, assembly, encapsulation, or sealing.

Photolithography

An optical process for transferring a thin-film pattern or mask onto a substrate using focused light in a narrow spectral range. The resulting masks are photo-resistant layers that guard portions of a wafer against subsequent etching or deposition. Refer to masking.

Photonics

The physical science of light (primarily in particle or photon form) as it is generated, detected, and manipulated, with emphasis on practical uses (e.g., emission, transmission, amplification, sensing, and signal processing). Compare with optics.

Photonic integrated circuits (PIC)

A chip on which multiple photonic operations are performed; these may include lasers, waveguides, phase shifters, and polarizers. The PIC can be seen as functioning like an integrated electronic circuit but using light (photons) as the signal carrier instead of the movement of electrons.

Physical vapor deposition (PVD)

A thin-film coating process wherein a vaporized material is deposited via condensation on a substrate surface.

Piezoelectric effect

The ability of certain crystalline solids to generate an electrical charge when exposed to mechanical compression. Such materials are also capable of an inverse piezoelectric effect in that they will, under the application of an electrical charge, exhibit mechanical stress and physical expansion. These materials find frequent use in both sensing and actuating devices.

Plasma-enhanced CVD (PECVD)

A version of chemical vapor deposition (CVD) that uses plasma to deliver a portion of the necessary energy rather than relying solely on thermal processing; this results in a lower production temperature than standard CVD. PECVD temperatures range from 200 to 400°C; in comparison, low-pressure CVD (thermal-only processing) ranges from 425 to 900°C.

Polycrystalline silicon

A high purity form of silicon made of misaligned crystals. It sits in a middle position in a progression from amorphous silicon and monocrystalline silicon, and is a raw material used in the manufacture of photovoltaic cells and other electronics. Sometimes called “poly” for short..

Potassium hydroxide etch

See KOH etch.

Pressure sensor

A device that compares the pressure in a gas or fluid on one side of a diaphragm to a set reference pressure trapped on the other side. In a MEMS pressure sensor, the strain in the silicon diaphragm caused by the difference in pressure triggers a measurable change in electrical resistance in the diaphragm material.

Q

R

REACH

An acronym for Registration, Evaluation, Authorization, and Restriction of Chemicals; a regulation of the European Union adopted in December 2006 that restricts the use of certain chemicals to improve the protection of human health and the environment from the risks that may be posed by those chemicals.

Reactive ion etching (RIE)

A high-resolution dry etching process using chemically reactive plasma to blast away surface materials on wafers. The plasma is generated and controlled under vacuum by an electromagnetic field. The process can be highly anisotropic and can therefore create fine resolution and high aspect ratios.

Restriction of Hazardous Substances (RoHS)

A directive issued by the European Union restricting the use of certain hazardous substances in electrical and electronic equipment. The following substances are banned under the directive: lead (Pb), mercury (Hg), cadmium (Cd), hexavalent chromium (CrVI), polybrominated biphenyls (PBB), polybrominated diphenyl ethers (PBDE), and four different phthalates (DEHP, BBP, BBP, DIBP).

S

Safety data sheet (SDS)

A form used to communicate information regarding the safe handling of chemicals and hazardous materials. The information is consistently formatted for ready access and includes data such as chemical properties, the hazards the material presents, and precautionary measures that should be taken in handling, storing, or transporting the material.

Scanning electron microscope (SEM)

A microscope that uses a beam of electrons rather than light to form an image. Its large depth of field and high resolution permit extreme levels of magnification, making the nanoscale structures of MEMS visible.

SEMI

Global industry association established in 1970 representing companies in the electronics manufacturing and design supply chain with a mission “To advance the growth and prosperity of our member companies’ ecosystems by constantly conceptualizing, developing and providing high-value products, services and solutions.”

Silicon-on-insulator (SOI)

A layered wafer with silicon over an oxide insulator over a silicon substrate. The insulator improves performance of the device by reducing parasitic capacitance. Compare with buried oxide (BOX).

Silicon optical bench (SiOB)

An optical bench is an apparatus (often combining light sources, filters, mirrors, beam splitters, and detectors) used for the investigation of optical phenomena. A silicon optical bench is a wafer-level MEMS version with the discrete optical elements placed into kinematic cavities or pits in the silicon designed to precisely hold each element in the correct X, Y, and Z position

Singulation

The process of cutting a finished wafer (containing multiple integrated circuits or MEMS devices) into individual chips or dies. Also called dicing.

Small Business Innovation Research (SBIR)

A U.S. program that encourages domestic small businesses to engage in Federal research and development with the incentive to profit from new products’ commercialization. The goal is to stimulate high-tech innovation and support a strong national economy.

Spectroscopic ellipsometer

A technique using changes in light polarization to identify the thickness and other properties of thin films. Light is distorted as it passes through the film and this distortion can be interpreted to provide information about its physical properties. This method finds use in many areas where films are used including semiconductors, solar cells, optical coatings, and electronic displays.

Sputter deposition

A type of physical vapor deposition (PVD) in which a source material (the target) is subjected to a high-energy bombardment from a gas plasma (typically argon). The process blasts ions from the target that are then drawn to a substrate where a thin film coating forms.

Substrate

Foundational wafer material typically made of silicon or glass on which MEMS structures can be constructed.

T

Thermal budget

A combination of 1) the limit on the temperature (or amount of thermal energy) that may be imposed on a wafer and 2) the time spent at that elevated temperature. Intended to protect the various materials deposited or employed at each step in the production of integrated circuits, MEMS devices, and wafer packages.

Tetra-methylammonium hydroxide (TMAH)

An anisotropic etching chemical for monocrystalline silicon wafers. The etch is performed using vapor produced from TMAH heated to its boiling point. Advantages of TMAH include that it is non-toxic, and it does not require intricate vacuum operations.

Through silicon via (TSV)

A feature that allows transmission of an electrical signal through the wafer substrate rather than requiring the signal to be routed to the die’s edge thereby reducing routing complexity, shortening the signal path, and shrinking the device footprint. Consists of a small-diameter penetration filled with an electrically conductive material. READ MORE.

Tilt control

Management of the sidewall angle while making a deep etch into the substrate. Tight control can translate into nearly vertical planes (approaching 90° to the wafer surface) which can yield deep parallel microstructures.

Topography

On a wafer or microdevice, topography refers to the amount of variation in surface “elevation” or smoothness that may result from variation in wafer thickness or from the etch and deposition processes used to create microdevices. Topography may interfere with subsequently applied layers and can affect choices made in wafer bonding (e.g., type of adhesion, bond line width, level of desired hermeticity).

U

Upper control limit (UCL)

Refer to control limits.

V

W

Wafer acceptance criteria (WAC)

The written specifications, requirements, conditions, and criteria mutually agreed between the foundry and client that must be met for the wafer-based product to be considered complete and of satisfactory quality.

Wafer back grinding

A step in the fabrication process during which the wafer is thinned for stacking and wafer-level packaging or for opening a through silicon via to the back side. Some care must be taken to avoid overstressing the wafer (mechanically or thermally). Sometimes called “grind” for short; also referred to as wafer thinning.

Wafer bonding

The assembly of two wafers or wafer sections to enclose or expand a microstructure. The bond may be temporary (to hold pieces while intermediate steps are performed) or permanent (to become a part of the finished product). Bond adhesion can be created through various techniques using heat, compression, chemical reactions, and/or ionic bonding. Read more on Atomica’s wafer bonding offering.

Wafer-level packaging (WLP)

Completion of packaging steps during fabrication at wafer scale prior to dicing (as opposed to combining individual components that have been previously diced from wafers). READ MORE. 

Wet etching

An etching process wherein a wafer is exposed to a liquid agent (by dipping or spraying) to remove unwanted material via a corrosive chemical reaction. Used in situations where material is to be removed on a wide scale. The removal of material is controlled or managed using masks. Compare with dry etching.

X

Y

Z